This commit is contained in:
tTh 2023-06-06 12:22:56 +02:00
parent f8d5e66a5c
commit db7091d5c4
1 changed files with 1 additions and 1 deletions

View File

@ -22,7 +22,7 @@ module mathstuff2
! after initializing the random generator engine,
! you MUST use it for initializing the initializer
do foo=1, tarray(1)+5
do foo=1, tarray(1)+15
dummy = rand()
enddo